#PACE: Start of Constraints generated by PACE #PACE: Start of PACE I/O Pin Assignments NET "clk_50mhz" LOC = "T9" | IOSTANDARD = LVCMOS33 ; NET "reset" LOC = "L14" | IOSTANDARD = LVCMOS33 ; NET "led<0>" LOC = "K12" | IOSTANDARD = LVCMOS33 ; NET "led<1>" LOC = "P14" | IOSTANDARD = LVCMOS33 ; NET "led<2>" LOC = "L12" | IOSTANDARD = LVCMOS33 ; NET "led<3>" LOC = "N14" | IOSTANDARD = LVCMOS33 ; NET "led<4>" LOC = "P13" | IOSTANDARD = LVCMOS33 ; NET "led<5>" LOC = "N12" | IOSTANDARD = LVCMOS33 ; NET "led<6>" LOC = "P12" | IOSTANDARD = LVCMOS33 ; NET "led<7>" LOC = "P11" | IOSTANDARD = LVCMOS33 ; #PACE: Start of PACE Area Constraints #PACE: Start of PACE Prohibit Constraints #PACE: End of Constraints generated by PACE